-
As far as I can see the metadata generated by tilemaker does not include attribution info.
It is always possible to add this afterwards or on the client side. But the result is that a "by default" …
-
As per our [documentation](https://docs.owid.io/projects/etl/architecture/metadata/reference/#variablepresentation), the attribution to the data provider should always specify the year of publication …
-
Is it possible when generating orders to have some have order attribution data added to orders?
-
-
### Is there an existing feature request for this?
- [X] I have searched the existing issues
### Describe the Feature
Volume of sales attributed to campaign atm base on last touch campaign. T…
-
module adder #(
parameter WIDTH = 32
)(
input logic [WIDTH-1:0] a, b,
output logic [WIDTH-1:0] result
);
always_comb begin
result
-
The spinup function (`libcbmr::cbm_exn_spinup()`) requires species passed via the `spinup_parameters` object. In our current small raster example, these are hard coded (line 333 in `CBM_core`). We, on…
-
Set up licensing for the program along with thoughts and recommendations on licensing for individual projects.
-
### Module version(s) affected
4.x, 5.x, 6.x
### Description
The README has an Attributions section in it, which hasn't been updated for quite some time. Either we should remove this, or update it …
-
Using the web-vitals library with the attribution build (reportAllChanges set to true),
I've encountered several scenarios where the LCP Element is reported as a null or blank value,
while the LCP…