-
Take the following Amaranth code:
```python
class X(Elaboratable):
def __init__(self):
self.i = Signal(8)
self.o = Signal(8)
def elaborate(self, platform):
m…
tilk updated
4 months ago
-
**Describe the bug**
Some barrels play the regular wood sound instead of the expected sound for that wood.
**To Reproduce**
Place any of the following barrels: Cherry, Sakura, Crimson, warped, um…
-
> Now on its own repository : https://github.com/sporniket/yices2-built-with-wasi-sdk
Yices2 is required to be included to YoWASP to trully require only python and pdm for my projects with amaranth…
-
### Version
Yosys 0.40+25 (git sha1 171577f90, clang++ 14.0.6 -fPIC -Os)
### On which OS did this happen?
Linux
### Reproduction Steps
Before opening this issue, I found some really useful inform…
-
@antonblanchard just released the vlsiffra project. See the tweet @ https://twitter.com/antonblanchard/status/1580154261962657792
> Introducing https://github.com/antonblanchard/vlsiffra/ - a gene…
-
Bikeshed: which of these should we use?
-
`Netgen 1.5.253`
Sky130 MPW-4 Slot-029 coriolis_test_soc_-_mpw4
1. `(* ... *)` denotes attributes in verilog that various tools can use as needed. In netgen, they should probably be treated as c…
-
This code fails for me:
```python
from amaranth import Signal, Module
from amaranth.sim import Simulator
m = Module()
s = Signal()
t = Signal()
m.d.sync += s.eq(0)
with m.If(s):
m.d.c…
-
I tried to generated the file DuplexingPP.v by the python scripts. It did generated a file named "DuplexingPP.v". But when I opened the file with a txt viewer, I found that the context in the file is …
-
color name : amaranth
color family : red