issues
search
OSVVM
/
OSVVM-Scripts
OSVVM project simulation scripts. Scripts are tedious. These scripts simplify the steps to compile your project for simulation
Other
8
stars
13
forks
source link
issues
Newest
Newest
Most commented
Recently updated
Oldest
Least commented
Least recently updated
Code coverage with NVC produces no output
#52
a-panella
opened
2 weeks ago
8
Invalid ISO 8601 format in timestamps
#51
Paebbels
closed
1 month ago
1
Bug wrt. variable-existence checks
#50
riedel-ferringer
closed
1 month ago
1
Running simulation with GHDL and Vivado libraries
#49
TylerD83
closed
8 months ago
2
Add possibility to use a scripts settings file that is defined inside project directory.
#48
KrzysztofZyla
opened
10 months ago
5
HTML Report Customization
#47
callendorph
opened
1 year ago
7
How to unlink/unset libraries without deleting them?
#46
KrzysztofZyla
opened
1 year ago
9
TYPO in CreateBuildYamlReports.tcl
#45
KrzysztofZyla
closed
1 year ago
1
Possibly unintended return value
#44
riedel-ferringer
closed
1 year ago
2
Assert Error and simulation failed and NVC vs the world
#43
JimLewis
opened
1 year ago
4
Brainstorming: Test configuration information
#42
JimLewis
opened
1 year ago
1
Updates to vendor scripts fo Aldec tools
#41
wyvernSemi
closed
1 year ago
2
Updates from CoSim
#40
JimLewis
closed
1 year ago
0
Add VHDL Short Version to compilation library.
#39
bpadalino
closed
1 year ago
0
rectify script updates
#38
JimLewis
closed
1 year ago
1
Paths for YAML and HTML output files
#37
riedel-ferringer
closed
1 year ago
13
Generics don't work with RunTest
#36
JasonAtTomra
closed
1 year ago
6
Handling of wave.do
#35
riedel-ferringer
closed
1 year ago
3
Use absolute path for CurrentWorkingDirectory
#34
f-markus
closed
1 year ago
3
Don't stop simulation in case wave.do produces errors
#33
f-markus
closed
1 year ago
1
Passing attributes to simulation run scripts
#32
aakulinsk
closed
1 year ago
17
NVC simulator support
#31
amb5l
closed
1 year ago
0
LinkLibraryDirectory and relative paths
#30
fhuemer
closed
1 year ago
4
Disable execution of wave.do in non-gui mode
#29
riedel-ferringer
closed
1 year ago
4
Riviera-PRO scripting broken on Linux due to typos
#28
Asif71
closed
1 year ago
3
Readme fixes
#27
orkaboy
closed
2 years ago
0
Customizable Scripts
#26
riedel-ferringer
closed
1 year ago
12
ONE output folder
#25
riedel-ferringer
closed
2 years ago
5
noview doesn't work in batch or command line mode (vsim -c, vsim -batch)
#24
riedel-ferringer
closed
2 years ago
1
Added missing 'else' keyword.
#23
Paebbels
closed
2 years ago
3
Lots of HTML text snippets are printed to the CI console
#22
Paebbels
closed
1 year ago
6
Return a non-zero exit code, when testsuite has failing tests
#21
Paebbels
closed
1 year ago
3
How to use OSVVM Predefined Variables in TCL?
#20
Paebbels
closed
1 year ago
3
Where to set -fsynopsys for GHDL
#19
Paebbels
closed
1 year ago
4
A stopped simulation is reported as "not run" in HTML reports
#18
Paebbels
closed
2 years ago
3
TCL scripting doesn't catch errors, when simulator returns with error (e.g. GHDL)
#17
Paebbels
closed
1 year ago
2
Add support to analyze SystemVerilog files too
#16
Paebbels
closed
2 years ago
2
Building OSVVM but not running regression test reports status PASSED
#15
Paebbels
closed
1 year ago
7
Missing indentation in YAML created by OSVVM
#14
Paebbels
closed
2 years ago
5
Allow code coverage collection
#13
Paebbels
closed
2 years ago
3
Allow referencing pre-compiled vendor libraries for Active-HDL/Riviera-PRO
#12
Paebbels
closed
2 years ago
9
Add option to create waveform files for GHDL (GUI mode)
#11
Paebbels
closed
1 year ago
5
First version of a transformation tool.
#10
Paebbels
closed
2 years ago
2
GHDL tweaks for Linux
#9
NJDFan
closed
3 years ago
3
GHDL tweaks for Linux
#8
NJDFan
closed
3 years ago
0
Dev
#7
NJDFan
closed
3 years ago
2
Arguments passed by OptionalCommands to the simulator are not working as expected
#6
JimLewis
closed
3 years ago
2
In Mentor, add log -r /*, fails if no signals in the testbench
#5
JimLewis
closed
3 years ago
2
OSVVM-Scripts doesn't Detect Simulator Tool Correctly if not Installed in Default Directory
#4
Paebbels
closed
3 years ago
1
Build command doesn't report an error if *.pro file is not found
#3
Paebbels
closed
3 years ago
1
Next